IP - SOC 2011 3 D IC 2 - tier 16 PE Multiprocessor with 3 D NoC Architecture Based on Tezzaron Technology

نویسندگان

  • D. Houzet
  • M. H Jabbar
  • O. Hammami
چکیده

In this paper, we describe the design flow, architecture and implementation of our 3D multiprocessor with NoC. The design based on 16 processors communicating using a 4x2x2 mesh NoC spread on two tiers is discussed in detail and will be fabricated using Tezzaron technology with 130 nm Global Foundaries low power standard library. The purpose of this work is to accurately measure NoC performances in real 3D chip when running mobile multimedia applications to evaluate the impact of 3D architecture compared to 2D.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

3D IC 2-tier 16PE Multiprocessor with 3D NoC Architecture Based on Tezzaron Technology

HAL is a multi-disciplinary open access archive for the deposit and dissemination of scientific research documents, whether they are published or not. The documents may come from teaching and research institutions in France or abroad, or from public or private research centers. L’archive ouverte pluridisciplinaire HAL, est destinée au dépôt et à la diffusion de documents scientifiques de niveau...

متن کامل

N ° D’ORDRE 9609 SPECIALITE: PHYSIQUE Ecole Doctorale « Sciences et Technologies de l’Information des

...................................................................................................................... v Résumé ............................................................................................................................. vii CONTENTS .................................................................................................................. xxxi LIST OF TA...

متن کامل

Virtualization Architecture for NoC-based Reconfigurable Systems

To further enhance the capacity of parallel processing, the Network-on-Chip (NoC) is gradually adopted in a Systemon-Chip (SoC) design, instead of the conventional bus architecture. Further, due to the support of partial reconfiguration technology, the Partial Reconfigurable Regions (PRRs) in an FPGA device can be configured as an IP core, such as a General-Purpose Processor (GPP) or a hardware...

متن کامل

ISSCC 2012 / SESSION 10 / HIGH - PERFORMANCE DIGITAL / 10 . 6 10 . 6 3 D - MAPS : 3 D Massively Parallel Processor with Stacked Memory

Several recent works have demonstrated the benefits of through-silicon-via (TSV) based 3D integration [1-4], but none of them involves a fully functioning multicore processor and memory stacking. 3D-MAPS (3D Massively Parallel Processor with Stacked Memory) is a two-tier 3D IC, where the logic die consists of 64 general-purpose processor cores running at 277MHz, and the memory die contains 256K...

متن کامل

Interconnection between Peripherals in SoC'S Using CDMA Technique

NoC (network On Chip) is an efficient approach to design the communication subsystem between IP Cores in SoC (System On Chip). In this paper a communication infrastructure design using CDMA (Code division multiple access) based shared bus architecture for core-to-core communication in NoC is presented. CDMA has been proposed as an alternative way for interconnect of IP cores in a SoC design, or...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2012